CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DAC vhdl

搜索资源列表

  1. sin

    0下载:
  2. sin產生器,可以於VHDL產生sin之數值波形,進而輸出至dac做轉換
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1084368
    • 提供者:lin
  1. lcd

    1下载:
  2. Spartan-3e开发板的LCD屏幕上显示-Spartan-3e development board of the LCD screen
  3. 所属分类:其他小程序

    • 发布日期:2013-08-08
    • 文件大小:314903
    • 提供者:纪雪莲
  1. fpdpsk

    0下载:
  2. FSK/PSK信号调制器的VHDL程序,共分为分频器、m序列产生器、跳变检测、2:1数据选择器、正弦波信号产生器和DAC(数、模变换器)6部分-FSK/PSK signal modulator VHDL program is divided into divider, m sequence generator, transition detection, 2:1 data selector, the sine wave signal generator and DAC (number, mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1618
    • 提供者:hucy
  1. 61EDA_D1116

    0下载:
  2. A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:59693
    • 提供者:郭晨
  1. FPGA_Based_Multi-channels_Serial_ADC_controller.ra

    0下载:
  2. 采用FPGA控制ADS7844进行模数转换。ADS7844 是Burr_Brown公司推出的一种高性能、宽电压、低功耗的12 b串行数模转换器。它有8个模拟输入端,可用软件编程为8通道单端输入A/D转换器或4通道差分输入A/D转换器,其转换率高达200 kHz,而线性误差和差分误差最大仅为±1 LSB。-Using FPGA control ADS7844 analog to digital conversion. ADS7844 is a Burr_Brown the company intr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:161251
    • 提供者:Liu Bin
  1. sine_wave_generator_using_FPGA_implementation

    0下载:
  2. 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2190272
    • 提供者:陈振林
  1. FPGA_ADDA

    0下载:
  2. 基于 Cyclone EP1C6240C8的ADS2807,DAC2902 测试程序。主要用来使用FPGA控制ADC采集和DAC的输出,从而达到高频率信号处理的功能。首先从ADC2807采集数据,然后送给DAC2902输出。 采用FPGA口线模拟ADC2807和DAC2902的时序来实现。 提供ADC采样频率控制、DAC输出频率控制、输出波形控制、ADC通道转换、DAC通道转换等功能。-Based on Cyclone EP1C6240C8 of the ADS2807, DAC2902
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2019752
    • 提供者:icemoon1987
  1. TLC5620

    0下载:
  2. Verilog HDL语言,FPGA实现TLC5620的DAC源代码-Verilog HDL language, FPGA implementation of the DAC TLC5620 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:497039
    • 提供者:双目林
  1. 13.6

    0下载:
  2. tlc549 VHDL 电压表 FPGA 数模转换-tlc549 VHDL FPGA DAC voltage meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:921811
    • 提供者:喻炜
  1. 12dac

    0下载:
  2. 自己编的12位dac 不过需要外接滤波器才可以看得更好些-a 12bit dac need a lpf which can view clearly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:556
    • 提供者:王鹏
  1. spartan3e_test

    0下载:
  2. Drive for ADC-DAC POR FPGA SPARTAN 3E STARTER KIT
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-06
    • 文件大小:2030
    • 提供者:FPGA666
  1. ad5348controller

    1下载:
  2. TI公司生产的8通道12位的高速DAC,AD5348,用VHDL状态机法控制-TI company' s 8-channel 12-bit high speed DAC, AD5348, used for controlling a state machine VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-17
    • 文件大小:415973
    • 提供者:jeffery
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. DAC0832-VHDL-design

    0下载:
  2. DAC0832接口的VHDL设计实现。利用硬件描述语言在FPGA上实现DAC功能。-DAC0832 interface VHDL design and implementation. Using hardware descr iption language DAC function in the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3175
    • 提供者:元泽怀
  1. A-FPGA-Based-Delta-Sigma-DAC

    0下载:
  2. 用FPGA实现AD转换的代码,使用VHDL语言编写-A FPGA Based Delta-Sigma DAC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:58971
    • 提供者:wang
  1. DACtest

    0下载:
  2. Spartan 3E - DAC- VHDL. It is a vhdl code for Xilinx Spartan 3E fpga to run ADC and AMP on the board via SPI interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:157838
    • 提供者:psycho374
  1. ADS828-DAC902-VHDL

    0下载:
  2. ADS828 DAC的VHDL程序 绝对-ADS828 DAC program based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:318783
    • 提供者:kobe
  1. adcdac_modify

    0下载:
  2. ADC-DAC VHDL Working code for Spartan 3/3E FPGA device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1966
    • 提供者:guruprasad sp
  1. DAC_VHDL

    0下载:
  2. DAC VHDL code using SPI method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:6671
    • 提供者:mohamed
  1. DAC

    0下载:
  2. digital to analog converter
  3. 所属分类:并行计算

    • 发布日期:2017-12-29
    • 文件大小:51200
    • 提供者:jayantbhoge
« 1 23 »
搜珍网 www.dssz.com